MỚI NHẤT
CƠ QUAN CỦA TỔNG LIÊN ĐOÀN LAO ĐỘNG VIỆT NAM
Gã khổng lồ chip Đài Loan gia nhập vào cuộc đua về công nghệ bán dẫn mới nhất. Ảnh chụp màn hình

Các ông lớn ngành bán dẫn chạy đua sản xuất chip

Hoàng Tình LDO | 22/06/2022 20:00
Các nhà cung cấp bán dẫn lớn trên thế giới đang nỗ lực để tăng cường khả năng cạnh tranh trong lĩnh vực sản xuất chip.

Vào năm 2019, tập đoàn sản xuất chip bán dẫn khổng lồ TSMC công bố bắt đầu nghiên cứu và phát triển quy trình sản xuất chip 2nm. Sau ba năm, mới đây, tại Hội nghị chuyên đề Công nghệ TSMC 2022, gã khổng lồ chip Đài Loan (Trung Quốc) thông báo rằng nút tiến trình 2nm sẽ ra mắt vào cuối năm 2025. Trong khi đó, Intel Corp - công ty từng được biết đến với những con chip tiên tiến nhất thế giới có trụ sở tại Mỹ - đang nỗ lực để giành lại ngôi vương chip thế giới vào năm 2025.

Trước đó, gã khổng lồ Intel ở Thung lũng Silicon được cho là đi tiên phong trong việc phát triển quy trình tiên tiến nhất nút 18A, vốn được đồn đại là sẽ ra mắt vào năm 2024.

Nút 18A của Intel là quy trình tiên tiến nhất của công ty, tương đương với 2nm của TSMC. Khi tiết lộ lộ trình công nghệ của Intel vào tháng 7 năm ngoái, gã khổng lồ Mỹ cho biết sẽ thay đổi cách đặt tên cho công nghệ sản xuất chip, sử dụng những cái tên như "Intel 7" để phù hợp với cách TSMC và Samsung đang cạnh tranh công nghệ trên thị trường.

Lộ trình công nghệ của Intel đến năm 2025: Intel 10, Intel 7, Intel 4, Intel 3, Intel 20A. Ảnh chụp màn hình

Lộ trình công nghệ của Intel liệt kê các quy trình sản xuất Intel 10, Intel 7, Intel 4, Intel 3, Intel 20A (angstrom) và Intel 18A mới được đặt tên. 

Trong số này, 18A là quy trình tiên tiến nhất và Intel chỉ ra rằng công nghệ này sẽ được đưa vào sản xuất vào nửa cuối năm 2025. Tuy nhiên, tại lễ ra mắt cơ sở mới của công ty ở Oregon vào tháng 4 năm nay, Giám đốc điều hành Intel, Pat Gelsinger đã chia sẻ tiến độ trên nút 18A, được mệnh danh là quy trình hàng đầu của công ty, có thể được công bố sớm hơn so với kế hoạch. 

Một nhà phân tích lưu ý rằng Gelsinger phải cực kỳ tin tưởng vào tiến trình của 18A mới có thể đưa ra thông báo sớm như vậy

TSMC, Intel tiếp cận với công nghệ mới nhất

Hiện tại 92% các nút tiên tiến nhất trên thế giới đến từ nhà sản xuất TSMC của Đài Loan. Mặc dù trước đó TSMC đã dẫn đầu trong việc phát triển công nghệ sản xuất hàng loạt cho chip 2nm, thông báo mới nhất chỉ ra rằng công ty đã đẩy mạnh sản xuất hàng loạt các nút 2nm đến cuối năm 2025.

Xưởng đúc Đài Loan sẽ xuất xưởng quy trình 3nm (N3) đầu tiên của mình vào cuối năm nay, tiếp theo là một biến thể hiệu suất được cải thiện (N3E) vào quý II hoặc quý III năm 2023. Hai biến thể bổ sung của nút N3, lần lượt là N3P (hiệu suất) và N3X vào năm 2024 và năm 2025.

TSMC cũng giới thiệu công nghệ sản xuất N2 (lớp 2nm) như là nút đầu tiên sẽ sử dụng các bóng bán dẫn hiệu ứng trường toàn cổng (GAAFETs).

Nhà sản xuất chip TSMC cũng chia sẻ rằng họ sẽ có phiên bản tiếp theo của công cụ tạo chip tiên tiến nhất của ASML Holding vào năm 2024. Công cụ này có tên là “high-NA EUV”, tạo ra các chùm ánh sáng tập trung tạo ra các vi mạch trên máy tính, chip dùng trong điện thoại, máy tính xách tay, ô tô và các thiết bị trí tuệ nhân tạo như loa thông minh. EUV là viết tắt của tia cực tím, bước sóng ánh sáng được sử dụng bởi các máy tiên tiến nhất của ASML.

Tuy nhiên, giám đốc cấp cao của TSMC về R&D, YJ Mii trong hội nghị chuyên đề về công nghệ của TSMC tại Thung lũng Silicon chia sẻ rằng công ty sẽ chỉ đưa các máy quét EUV NAV cao vào năm 2024 để phát triển cơ sở hạ tầng liên quan và giải pháp khuôn mẫu cần thiết cho khách hàng để thúc đẩy sự đổi mới. Mii không cho biết khi nào thiết bị, thế hệ thứ hai của công cụ in thạch bản cực tím để tạo ra các chip nhỏ hơn và nhanh hơn, sẽ được sử dụng để sản xuất hàng loạt.

Ngược lại, đối thủ của TSMC là Intel cho biết sẽ sử dụng thế hệ máy móc mới từ ASML (Hà Lan) có ứng dụng công nghệ in thạch bản cực tím. Công nghệ này khắc bản thiết kế chip lên tấm silicon tương tự như cách in một bức ảnh chụp theo phương thức cũ.

Trong khi TSMC và Intel chắc chắn phải đối mặt với những tiến bộ mới nhất trong ngành bán dẫn, cuộc cạnh tranh đã diễn ra rất gay gắt với những đối thủ khác không hề chậm lại. Một đối thủ lớn khác là Samsung cho biết vào tháng 4 rằng, họ sẽ sản xuất chip 3nm vào cuối tháng 6 và chip 2nm vào năm 2025, đặt nó vào cuộc cạnh tranh trực tiếp với TSMC.

Tin mới nhất

Gợi ý dành cho bạn